CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Parallel port

搜索资源列表

  1. TM82AAA

    0下载:
  2. TN82AA液晶块利用AT89C51编程的测试程序,利用并口16根管脚-TN82AA LCD AT89C51 programming block the use of the testing procedure, and use 16-pin parallel port
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1075
    • 提供者:张欣
  1. lm128645

    0下载:
  2. 用AT89C52并口连接LM128645液晶块的有用的汽车ABS故障检测仪程序,LM128645总共20管脚.-parallel port connection with AT89C52 LM128645 LCD block a useful vehicle ABS fault detector procedure LM128645 a total of 20 pins.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8015
    • 提供者:张欣
  1. progisp14

    0下载:
  2. 共享软件PROGISP(Ver1.4) 2005/12/28 支持编程器类型 1,多种并口(可以自定义)编程器(并口串行) 2,USBPROG编程器(usb串行) 3,并口并行编程器 特色: 1,速度较快(16k程序): 并口 读11秒 写11秒;USBPROG读2秒 写2秒。 2,USBPROG根据目标cpu自动调整三种下载速度(8k,187.5k,375k) 3,支持自定义ATMEL全系列cpu(avr+S5x 系列). 4,支持自定义熔丝信息
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:530329
    • 提供者:智峰
  1. PortControl

    0下载:
  2. 并口控制信号的VC接口,将头文件(WinIo.h)和库文件(WinIo.lib)加入到工程即可-parallel port control signal VC interface, head (WinIo.h) and libraries (WinIo.lib) can be added to the project
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:20569
    • 提供者:陈先俞
  1. EPP

    0下载:
  2. 并口的EPP协议,与外部的FIFO的empty,full信号共同控制数据传输-of EPP parallel port agreement with the external FIFO empty, full common control signal data transmission
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:943
    • 提供者:陈刚
  1. progisp14s

    0下载:
  2. 共享软件PROGISP(Ver1.4+) 2006/1/14 支持编程器类型 1,多种并口(可以自定义)编程器(并口串行) 2,USBPROG编程器(usb串行) 3,并口并行编程器 4,usbasp 扩展功能 1,串口调试,超级终端 特色: 1,速度较快(16k程序): 并口 读11秒 写11秒;USBPROG读2秒 写2秒。 2,USBPROG根据目标cpu自动调整三种下载速度(8k,187.5k,375k) 3,支持自定义ATM
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:823955
    • 提供者:智峰
  1. A_CCONTROL

    1下载:
  2. 可实现视频设备(云台和摄像头)的控制,在监控系统工程中有着广泛的应用。通过串口或并口控制云台8个方向转动、镜头与光圈的6种调节,辅助设备(雨刮、照明等)的开关。-video equipment can be realized (and camera platforms) control, the control system has a wide range of applications. Through serial or parallel port to control the direc
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:676203
    • 提供者:张永
  1. bingkouexample

    0下载:
  2. 并口通信例子,可以监控并口,和利用并口进行走马灯的演示-example, can monitor parallel, and the use of the Parallel Port for demonstration merry-go-round
  3. 所属分类:控制台(字符窗口)编程

    • 发布日期:2008-10-13
    • 文件大小:1824
    • 提供者:崔宁
  1. FluteD2_Jtag

    1下载:
  2. 利用并口来实现JTAG测试,其中需要安装驱动-Parallel Port JTAG testing to realize that it was necessary to install the driver
  3. 所属分类:并口编程

    • 发布日期:2008-10-13
    • 文件大小:251686
    • 提供者:hzc
  1. HJTAG

    0下载:
  2. Open Jtag小组的产品。有了它,您将可以用简易并口JTAG小板在ADS中进行调试!感谢twentyone的杰出工作!-Open Jtag Group products. With it, you can use simple parallel port JTAG small plate in the ADS for debugging! Twentyone grateful for the excellent work!
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:439658
    • 提供者:李伟
  1. jtag_cpld_vhdl

    0下载:
  2. JTAG CPLD实现源代码,比用简单并口调试器快5倍以上。 以前总觉得简单的并口jtag板速度太慢,特别是调试bootloader的时候,简直难以忍受。最近没什么事情,于是补习了几天vhdl,用cpld实现了一个快速的jtag转换板。cpld用epm7128stc100-15,晶振20兆,tck频率5兆。用sjf2410作测试,以前写50k的文件用时5分钟,现在则是50秒左右。tck的频率还可以加倍,但是不太稳定,而且速度的瓶颈已经不在tck这里,而在通讯上面了。 -JTAG CPLD
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:2023
    • 提供者:李伟
  1. AD9852_54_Code

    0下载:
  2. 控制DDSAD9854的软件,由PC机并口控制-DDSAD9854 control software, PC parallel port control
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:69134
    • 提供者:
  1. i2c-philips-par

    0下载:
  2. i2c-hw access for philips style parallel port adapters
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:2980
    • 提供者:blind2804189
  1. ispVBCode

    0下载:
  2. 目前只支持 s51,s52,并口引脚自定义(ISPSys.ini) 芯片资料自定义(ChipType.ini),希望大家完善它,但不得用于商业目的。-currently only supports s51, presentation, since the definition pin parallel port (ISPSys.ini) chip information from the definition (ChipType.ini) hope you perfect, but sho
  3. 所属分类:并口编程

    • 发布日期:2008-10-13
    • 文件大小:60505
    • 提供者:ly
  1. Easy51Pro

    0下载:
  2. Easy 51Pro的制作及使用说明 并口下载支持AT89C51,AT89C0251,AT89S51 也可自己编写-in the production and use parallel port download AT89C51, AT89C0251, AT89S51 can also prepare themselves
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:718527
    • 提供者:az-h
  1. 51ToLCD

    0下载:
  2. 一个自己编写的,通过并口操作5.7英寸TFT液晶屏的汇编程序。主要流程是,设置液晶屏,然后从存储器里面读取显示数据,通过并口送出。-a prepared themselves through parallel operation 5.7-inch TFT LCD screen the compilation process. The main process is set up LCD screen, and then read from the memory inside shows data
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:105073
    • 提供者:陈万雨
  1. printercode

    0下载:
  2. 打印机适配器端口直接编程: 通过系统并行口1,打印一行字符”HELLO”.并行口1的数据口地址为378H,命令口地址为37AH,状态口地址为379H. -direct printer port adapter programming : a parallel port system, Print his characters "Hello." a parallel port data I address 378H, I order to address 37AH,
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:3514
    • 提供者:刘福昌
  1. 51_I2C_A1

    0下载:
  2. 用51单片机并口实现i2c接口程序。严格按照i2c时序进行-MCU with 51 i2c Parallel Port Interface Program. In strict accordance with the timing for the i2c
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:140766
    • 提供者:阿颖
  1. parallelandJTAG_SCH1

    0下载:
  2. parallel口和JTAG口原理图连接详解-JTAG parallel port and mouth diagram linking Elaborates on
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:14771
    • 提供者:胡雄
  1. 30378

    0下载:
  2. 可以用于各种并口方式(SPP,EPP,ECP)的读写测试。-can be used in various ways parallel port (SPP, EPP, and ECP) literacy test.
  3. 所属分类:并口编程

    • 发布日期:2008-10-13
    • 文件大小:41076
    • 提供者:李济
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com